《數(shù)字邏輯》復習課總體知識概況習題.ppt
《《數(shù)字邏輯》復習課總體知識概況習題.ppt》由會員分享,可在線閱讀,更多相關(guān)《《數(shù)字邏輯》復習課總體知識概況習題.ppt(24頁珍藏版)》請在裝配圖網(wǎng)上搜索。
第1章掌握內(nèi)容,第2章掌握內(nèi)容,1、(376.2)O =( )B=( )H 。,11111110.01,FE.4,B,第二章習題,3、8選1數(shù)據(jù)選擇器74LS151構(gòu)成的電路如圖所示。寫出電路輸出函數(shù)Y的邏輯表達式,以最小項之和表達。,第二章習題,4、電路如圖,由四位全加器和異或門組成。 當K=0實現(xiàn)( ),當K=1實現(xiàn)( ),四位二進制加法,四位二進制減法,5、將2:4譯碼器改為四路分配器。,D,A,7. 一個由3:8譯碼器構(gòu)成的邏輯電路如圖所示,函數(shù)F的最小項表達式為_________________________________________________。,,第3章掌握內(nèi)容,,R,S,Qn+1,,0,1,,Qn,,0,1,0,1,0,0,1,1,米里型和摩爾型電路,米里型,摩爾型,第三章習題,2、 能完成Qn+1=Qn邏輯功能的電路有_____________。,a、b、c、d,3.將D觸發(fā)器轉(zhuǎn)換為T觸發(fā)器,圖2所示電路的虛框內(nèi)應是( )。 A. 或非門 B. 與非門 C. 異或門 D. 同或門,4.n個觸發(fā)器構(gòu)成的扭環(huán)計數(shù)器中,無效狀態(tài)有( )個。 A.n B.2n C.2n-1 D. 2n-2n,B,D,A、環(huán)形,B、0010,激勵方程:,4、分析如下電路功能。,狀態(tài)方程:,輸出方程:,模3計數(shù)器,第三章習題,(可自啟動),第三章習題,5,如下圖,3,A,B,C,D,E,6、74LS163(同步清零、同步置數(shù))組成的電路如圖,可以實現(xiàn)進制計數(shù)。,模12(置位法),4→5 →6 →7 →8 →9→1 0 →11 →12 →13 → 14→15 → 4,A B C D E,0→1 →2 →3→4 →5→6 →0,模7(復位法),74LS162為中規(guī)模同步十進制計數(shù)器,同步清零、同步置數(shù),試分析圖所示計數(shù)器的模制。,模26(復位法),第4章掌握內(nèi)容,第5章掌握內(nèi)容,第四章習題,1、一片20488的RAM芯片其存儲容量為( )個存儲元,地址線( )位,數(shù)據(jù)線( )位。,16384,11,8,2、用ROM實現(xiàn)4位二進制碼到循環(huán)碼的轉(zhuǎn)換,要求存儲體的容量為( ),若用PLA實現(xiàn),則要求存儲體的容量為( )。,A、ROM為28,PLA為64,B、ROM為64,PLA為28,C、ROM為16,PLA為8,D、ROM為8,PLA為16,64,28,3、用FPLA實現(xiàn)輸出二進制數(shù)是輸入二進制數(shù)的平方。要求三個輸入端A、B、C。,輸出6位,第五章習題,library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY add IS PORT ( clk: IN STD_LOGIC; y: OUT STD_LOGIC ); END add;,用VHDL設計十進制計數(shù)器,當計數(shù)值為3,6,7時輸出為1。,PROCESS (clk) begin if (clkevent and clk=1) then if (temp=“1001“) then temp = “0000“; else temp =temp+1; END IF; end if; END PROCESS; END behv;,ARCHITECTURE behv OF add Is SIGNAL temp: std_logic_vector (3 downto 0); BEGIN PROCESS(temp) begin case temp is when “0011“ = y y y y=0; END case; end process;,第五章習題,library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY add IS PORT ( a,b,c,d,e :IN STD_LOGIC; green,red :OUT STD_LOGIC ); END add; ARCHITECTURE behv OF add Is SIGNAL sum: std_logic_vector (2 downto 0); BEGIN sum =3 else 0; red = 1 when sum3 else 0; END behv;,用VHDL設計5人表決器,當1的個數(shù)大于等于3時green為1,否則red為1。,第6章掌握內(nèi)容,- 1.請仔細閱讀文檔,確保文檔完整性,對于不預覽、不比對內(nèi)容而直接下載帶來的問題本站不予受理。
- 2.下載的文檔,不會出現(xiàn)我們的網(wǎng)址水印。
- 3、該文檔所得收入(下載+內(nèi)容+預覽)歸上傳者、原創(chuàng)作者;如果您是本文檔原作者,請點此認領(lǐng)!既往收益都歸您。
下載文檔到電腦,查找使用更方便
9.9 積分
下載 |
- 配套講稿:
如PPT文件的首頁顯示word圖標,表示該PPT已包含配套word講稿。雙擊word圖標可打開word文檔。
- 特殊限制:
部分文檔作品中含有的國旗、國徽等圖片,僅作為作品整體效果示例展示,禁止商用。設計者僅對作品中獨創(chuàng)性部分享有著作權(quán)。
- 關(guān) 鍵 詞:
- 數(shù)字邏輯 數(shù)字 邏輯 復習 總體 知識 概況 習題
鏈接地址:http://www.3dchina-expo.com/p-3046176.html